屢獲殊榮的開源FPGA IP生成器,支持高度可抑制的同質FPGA體系結構。
github存儲庫:https://github.com/lnis-uofu/openfpga
使用雲FPGA的Web和雲應用程序硬件加速的框架。
GitHub存儲庫:https://github.com/stevehoover/1st-claas
這個虛擬的FPGA實驗室在Makerchip IDE中構建,是FPGA Newcomers的絕佳入門環境。
Verilog到路由(VTR)項目是全球合作的努力,旨在為進行FPGA體系結構和CAD研發提供開源框架。 VTR設計流作為輸入數字電路的Verilog描述,以及目標FPGA體系結構的描述。
github存儲庫:https://github.com/verilog-to-routing/vtr-verilog-to-routing
許可證:麻省理工學院
Symbiflow是用於開發多個供應商FPGA的完全開源工具鏈。目前,它針對Xilinx 7系列,晶格ICE40,晶格ECP5 FPGA,QuickLogic EOS S3,並逐漸擴展,以提供全面的端到端FPGA合成流。
主頁:https://symbiflow.github.io/
許可證:麻省理工學院
Yosys是Verilog RTL合成的框架。它目前具有廣泛的Verilog-2005支持,並為各種應用程序域提供了一組基本的合成算法。
主頁:https://yosyshq.net/yosys/
github存儲庫:https://github.com/yosyshq/yosys
許可證:ISC
邏輯合成Oracle是在EPFL邏輯合成庫上開發的框架,可通過使用不同的邏輯優化器來解鎖有效的邏輯操作。
github存儲庫:https://github.com/lnis-uofu/lsoracle
許可證:麻省理工學院
EPFL邏輯合成庫是用於開發邏輯合成應用程序的模塊化開源C ++庫的集合。所有圖書館均經過充分的文獻記載且經過良好的測試。僅標題,這些庫可以很容易地用作複雜邏輯合成框架中的核心組件。
GitHub存儲庫:https://github.com/lsils/lstools-showcase
許可證:麻省理工學院
Edalize是用於與EDA工具進行交互的Python庫。它可以為支持工具創建項目文件,並以批處理或GUI模式(在受支持的情況下)運行它們。
github存儲庫:https://github.com/olofk/edalize
許可證:BSD-2-CAREASE
VHDL的分析儀,編譯器,模擬器和(實驗)合成器。它目前對1987年,1993年,2002年的全力支持,以及2008年VHDL修訂版的部分支持。 PSL的部分支持。可與ghdl-yosys-plugin和(Symbi)Yosys一起用於合成和形式驗證。
主頁:https://ghdl.github.io/ghdl
GitHub存儲庫:https://github.com/ghdl/ghdl
許可證:GPL-2.0
OSVVM是VHDL驗證框架,驗證實用程序庫,驗證組件庫和模擬器獨立腳本流。 OSVVM為VHDL提供了流行語驗證capabilites,包括交易級建模,限制隨機,功能覆蓋範圍和評分板,這些計分板易於使用,並且感覺像內置的語言功能。我們的報告功能包括用於人類可讀性的HTML輸出和CI/CD工具的基於JUNIT的XML。
主頁:https://osvvm.github.io/
github存儲庫:https://github.com/osvvm/osvvmlibraries#readme
許可證:Apache-2.0
VUNIT是VHDL/SystemVerilog的開源測試框架。它具有驗證支持庫以及實現HDL代碼連續和自動化測試所需的功能。
主頁:https://vunit.github.io
github存儲庫:https://github.com/vunit/vunit
許可證:MPL 2.0
VerilogCreator是QTCreator插件。它將QTCreator變成了2005 IDE的Verilog。
主頁:https://github.com/rochus-keller/verilogcreator/
GitHub存儲庫:https://github.com/rochus-keller/verilogcreator/
許可證:GPL-2.0
Feseoc是IP內核的屢獲殊榮的套餐經理。它被最突出的開源矽項目使用,並且具有大量可用IP核心的生態系統
GitHub存儲庫:https://github.com/olofk/fusesoc
許可證:BSD-2-CAREASE
沙發( S Kywater O Pensource F PG A )是一系列開源FPGA IPS,使用開源Skywater 130nm PDK和OpenFPGA框架
github存儲庫:https://github.com/lnis-uofu/sofa
許可證:麻省理工學院
編程FPGA的通用實用程序
GitHub存儲庫:https://github.com/trabucayre/openfpgaloader
許可證:AGPL-3.0
LitedRam提供了一個小的佔地面積和可配置的DRAM核心。 Litedram是Litex庫的一部分,其目的是通過提供當今SOC中使用的簡單,優雅和高效的組件實現,例如以太網,SATA,PCIE,SDRAM控制器...
GitHub存儲庫:https://github.com/enjoy-digital/litedram
許可證:BSD-2-CAREASE