مولد IP FPGA مفتوح المصدر يحصل على جوائز يدعم بنيات FPGA متجانسة للغاية.
مستودع GitHub: https://github.com/lnis-uofu/openfpga
إطار لتسريع الأجهزة للتطبيقات على شبكة الإنترنت والسحابة باستخدام Cloud FPGAs.
مستودع github: https://github.com/stevehoover/1st-laas
تم إنشاؤه داخل MakerChip IDE ، وهو مختبر FPGA الظاهري هذا هو بيئة رائعة على متن الطائرة للوافدين الجدد FPGA.
يعد مشروع Verilog-to-Trying (VTR) جهد تعاوني عالميًا لتوفير إطار مفتوح المصدر لإجراء هندسة FPGA وبحوث CAD وتطويره. يأخذ تدفق تصميم VTR كإدخال وصف فيريلوغ للدائرة الرقمية ، ووصف بنية FPGA الهدف.
مستودع github: https://github.com/verilog-to-routing/vtr-everilog-to-routing
الترخيص: معهد ماساتشوستس للتكنولوجيا
Symbiflow عبارة عن مجموعة أدوات مفتوحة المصدر بالكامل لتطوير FPGAs من البائعين المتعددين. في الوقت الحالي ، يستهدف سلسلة Xilinx 7 ، وشبكة ICE40 ، وشبكة ECP5 FPGAs ، و Quicklogic EOS S3 ويتم توسيعها تدريجياً لتوفير تدفق توليف FPGA شامل.
الصفحة الرئيسية: https://symbiflow.github.io/
الترخيص: معهد ماساتشوستس للتكنولوجيا
Yosys هو إطار لتوليف Verilog RTL. لديها حاليًا دعم Verilog-2005 واسع النطاق وتوفر مجموعة أساسية من خوارزميات التوليف لمختلف مجالات التطبيق.
الصفحة الرئيسية: https://yosyshq.net/yosys/
مستودع GitHub: https://github.com/yosyshq/yosys
الترخيص: ISC
توليف المنطق Oracle هو إطار عمل تم تطويره في الجزء العلوي من مكتبات تخليق المنطق EPFL لإلغاء قفل معالجة المنطق الفعالة باستخدام مُحسّنات منطقية مختلفة.
مستودع GitHub: https://github.com/lnis-uofu/lsoracle
الترخيص: معهد ماساتشوستس للتكنولوجيا
مكتبات تخليق المنطق EPFL هي مجموعة من مكتبات C ++ المصدر المعياري لتطوير تطبيقات تخليق المنطق. جميع المكتبات موثقة جيدًا واختبارها جيدًا. كونه رأسًا فقط ، يمكن استخدام المكتبات بسهولة كمكونات أساسية في أطر التوليف المنطقي المعقدة.
مستودع github: https://github.com/lsils/lstools-howcase
الترخيص: معهد ماساتشوستس للتكنولوجيا
Edalize هي مكتبة Python للتفاعل مع أدوات EDA. يمكنه إنشاء ملفات المشروع للأدوات المدعومة وتشغيلها في وضع الدفعة أو واجهة المستخدم الرسومية (حيث يتم دعمها).
مستودع github: https://github.com/olofk/edalize
الترخيص: BSD-2-CAUSE
محلل ، مترجم ، محاكاة ومماكن (تجريبية) ل VHDL. لديها حاليًا دعمًا كاملاً لـ 1987 و 1993 و 2002 ، وجزئي لمراجعة عام 2008 لـ VHDL. الدعم الجزئي من PSL. يمكن استخدامها للتخليق والتحقق الرسمي مع GHDL-Yosys-Plugin و (Symbi) yosys.
الصفحة الرئيسية: https://ghdl.github.io/ghdl
مستودع GitHub: https://github.com/ghdl/ghdl
الترخيص: GPL-2.0
OSVVM هو إطار التحقق من VHDL ، ومكتبة الأداة المساعدة للتحقق ، ومكتبة مكون التحقق ، وتدفق البرمجة النصية المستقلة محاكاة. يوفر OSVVM VHDL مع capabilites Buzz Word بما في ذلك نمذجة مستوى المعاملة ، والتغطية العشوائية المقيدة ، والتغطية الوظيفية ، ولوحات النتائج البسيطة للاستخدام وتشعر وكأنها ميزات اللغة المدمجة. تتضمن إمكانيات الإبلاغ لدينا مخرجات HTML لقابلية القراءة البشرية و XML المستندة إلى JUNIT لأدوات CI/CD.
الصفحة الرئيسية: https://osvvm.github.io/
مستودع github: https://github.com/osvvm/osvvmlibraries#readme
الترخيص: Apache-2.0
Vunit هو إطار اختبار مفتوح المصدر لـ VHDL/SystemVerilog. إنه يتميز بمكتبات دعم التحقق والوظائف اللازمة لتحقيق الاختبار المستمر والآلي لرمز HDL الخاص بك.
الصفحة الرئيسية: https://vunit.github.io
مستودع github: https://github.com/vunit/vunit
الترخيص: MPL 2.0
VerilogCreator هو البرنامج المساعد QTCreator. إنه يحول QTCreator إلى IDE Verilog 2005.
الصفحة الرئيسية: https://github.com/rochus-keller/verilogcreator/
مستودع github: https: //github.com/rochus-keller/verilogcreator/
الترخيص: GPL-2.0
Fusesoc هو مدير حزم الحائز على جوائز لـ IP Core. يتم استخدامه من قبل أبرز مشاريع السيليكون مفتوحة المصدر ولديه نظام بيئي كبير من نوى IP المتاحة
مستودع GitHub: https://github.com/olofk/fusesoc
الترخيص: BSD-2-CAUSE
Sofa ( S kywater o pensource f pg a s) عبارة
مستودع GitHub: https://github.com/lnis-uofu/sofa
الترخيص: معهد ماساتشوستس للتكنولوجيا
فائدة عالمية لبرمجة FPGA
مستودع GitHub: https://github.com/trabucayre/openfpgaloader
الترخيص: AGPL-3.0
يوفر Litedram بصمة صغيرة ودربة قابلة للتكوين. Litedram هي جزء من مكتبات Litex التي تهدف أهدافها إلى انخفاض مستوى إدخال نوى FPGA المعقدة من خلال توفير تطبيقات بسيطة وأنيقة وفعالة للمكونات المستخدمة في SOC اليوم مثل Ethernet و SATA و PCIe و SDRAM Controller ...
مستودع GitHub: https://github.com/enjoy-digital/litedram
الترخيص: BSD-2-CAUSE