屡获殊荣的开源FPGA IP生成器,支持高度可抑制的同质FPGA体系结构。
github存储库:https://github.com/lnis-uofu/openfpga
使用云FPGA的Web和云应用程序硬件加速的框架。
GitHub存储库:https://github.com/stevehoover/1st-claas
这个虚拟的FPGA实验室在Makerchip IDE中构建,是FPGA Newcomers的绝佳入门环境。
Verilog到路由(VTR)项目是全球合作的努力,旨在为进行FPGA体系结构和CAD研发提供开源框架。 VTR设计流作为输入数字电路的Verilog描述,以及目标FPGA体系结构的描述。
github存储库:https://github.com/verilog-to-routing/vtr-verilog-to-routing
许可证:麻省理工学院
Symbiflow是用于开发多个供应商FPGA的完全开源工具链。目前,它针对Xilinx 7系列,晶格ICE40,晶格ECP5 FPGA,QuickLogic EOS S3,并逐渐扩展,以提供全面的端到端FPGA合成流。
主页:https://symbiflow.github.io/
许可证:麻省理工学院
Yosys是Verilog RTL合成的框架。它目前具有广泛的Verilog-2005支持,并为各种应用程序域提供了一组基本的合成算法。
主页:https://yosyshq.net/yosys/
github存储库:https://github.com/yosyshq/yosys
许可证:ISC
逻辑合成Oracle是在EPFL逻辑合成库上开发的框架,可通过使用不同的逻辑优化器来解锁有效的逻辑操作。
github存储库:https://github.com/lnis-uofu/lsoracle
许可证:麻省理工学院
EPFL逻辑合成库是用于开发逻辑合成应用程序的模块化开源C ++库的集合。所有图书馆均经过充分的文献记载且经过良好的测试。仅标题,这些库可以很容易地用作复杂逻辑合成框架中的核心组件。
GitHub存储库:https://github.com/lsils/lstools-showcase
许可证:麻省理工学院
Edalize是用于与EDA工具进行交互的Python库。它可以为支持工具创建项目文件,并以批处理或GUI模式(在受支持的情况下)运行它们。
github存储库:https://github.com/olofk/edalize
许可证:BSD-2-CAREASE
VHDL的分析仪,编译器,模拟器和(实验)合成器。它目前对1987年,1993年,2002年的全力支持,以及2008年VHDL修订版的部分支持。 PSL的部分支持。可与ghdl-yosys-plugin和(Symbi)Yosys一起用于合成和形式验证。
主页:https://ghdl.github.io/ghdl
GitHub存储库:https://github.com/ghdl/ghdl
许可证:GPL-2.0
OSVVM是VHDL验证框架,验证实用程序库,验证组件库和模拟器独立脚本流。 OSVVM为VHDL提供了流行语验证capabilites,包括交易级建模,限制随机,功能覆盖范围和评分板,这些计分板易于使用,并且感觉像内置的语言功能。我们的报告功能包括用于人类可读性的HTML输出和CI/CD工具的基于JUNIT的XML。
主页:https://osvvm.github.io/
github存储库:https://github.com/osvvm/osvvmlibraries#readme
许可证:Apache-2.0
VUNIT是VHDL/SystemVerilog的开源测试框架。它具有验证支持库以及实现HDL代码连续和自动化测试所需的功能。
主页:https://vunit.github.io
github存储库:https://github.com/vunit/vunit
许可证:MPL 2.0
VerilogCreator是QTCreator插件。它将QTCreator变成了2005 IDE的Verilog。
主页:https://github.com/rochus-keller/verilogcreator/
GitHub存储库:https://github.com/rochus-keller/verilogcreator/
许可证:GPL-2.0
Feseoc是IP内核的屡获殊荣的套餐经理。它被最突出的开源硅项目使用,并且具有大量可用IP核心的生态系统
GitHub存储库:https://github.com/olofk/fusesoc
许可证:BSD-2-CAREASE
沙发( S Kywater O Pensource F PG A )是一系列开源FPGA IPS,使用开源Skywater 130nm PDK和OpenFPGA框架
github存储库:https://github.com/lnis-uofu/sofa
许可证:麻省理工学院
编程FPGA的通用实用程序
GitHub存储库:https://github.com/trabucayre/openfpgaloader
许可证:AGPL-3.0
LitedRam提供了一个小的占地面积和可配置的DRAM核心。 Litedram是Litex库的一部分,其目的是通过提供当今SOC中使用的简单,优雅和高效的组件实现,例如以太网,SATA,PCIE,SDRAM控制器...
GitHub存储库:https://github.com/enjoy-digital/litedram
许可证:BSD-2-CAREASE